CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 并串 转换

搜索资源列表

  1. 并串转换XILINX

    0下载:
  2. 嵌入式中实现并串转换的VERILOG程序+VHDL程序两个版本,是xilinx版本的,权威但繁琐
  3. 所属分类:VHDL编程

    • 发布日期:2010-11-10
    • 文件大小:1411
    • 提供者:awenor
  1. p2s

    0下载:
  2. 并串转换模块,内含有另个.vhd文件。一个是自己写的比较简单 另一个是参考的。-And the string conversion module, which contains another one. Vhd file. One is its relatively simple to write the other is the reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:329745
    • 提供者:wukun
  1. chuan2

    0下载:
  2. 用verilog HDL编写的并串转换模块,在ISE软件仿真过,也可综合-Prepared using verilog HDL and string conversion module, in the ISE software simulation, and can also be integrated
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:798801
    • 提供者:李晶
  1. 74HC165

    0下载:
  2. 8051系列单片机控制74HC165并串转换-c51程序-8051 Series MCU control 74HC165 and string conversion-c51 program
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-27
    • 文件大小:545
    • 提供者:leisure
  1. seri-para

    1下载:
  2. 串行数据经过串并转换成4位并行数据输出,而后再经过并串转换成串行数据输出,输出与输入相同,只是有延时-After the serial data string and convert it into a 4-bit parallel data output, and then convert the string through and the serial data output, the output and input the same, but delayed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-09-22
    • 文件大小:187597
    • 提供者:王宇
  1. alaw

    0下载:
  2. 使用VHDL实现通信脉冲编码调制(PCM)中的a律转换,并实现串并、并串转换。-Use VHDL to achieve communication pulse code modulation (PCM) of a law conversion, and to achieve and string, and string conversion.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:5205
    • 提供者:wl
  1. bingchuan2

    0下载:
  2. verilogHDL编写的并串转换模块,在ISE软件中仿真过,可综合,绝对是正确的-prepared and verilogHDL string conversion module, the ISE simulation software that can be integrated, is absolutely correct
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:213237
    • 提供者:李晶
  1. bingchuan

    0下载:
  2. verilogHDL编写的并串转换模块,在ISE软件中仿真过,可综合,绝对是正确的-prepared and verilogHDL string conversion module, the ISE simulation software that can be integrated, is absolutely correct
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:213870
    • 提供者:李晶
  1. p2s

    0下载:
  2. 并串转换器:将并行输入的信号以串行方式输出,这里要注意需先对时钟进行分频,用得到的低频信号控制时序,有利于观察结果(可以通过L灯观察结果)-And series converter: the input signal in parallel to serial output, where attention should be paid to the need to carry out first clock frequency, low-frequency signals received b
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:127917
    • 提供者:米石
  1. signal_output

    0下载:
  2. 本文件是可以直接使用下载到FPGA里面使用,里面包含时钟分频电路,串并转换和并串转换电路,多通道信号加权的乘加电路等。-The document may download to FPGA chip to complete the clock divider,serial-to-parallel,parallel-to-serial,and multiple-add circuit for multiple channels weight calculation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1159767
    • 提供者:蔡野锋
  1. serial_input_parallel_output_module

    0下载:
  2. 有一批数据并行输入,位宽为4,输入的时钟频率是20MHz,模块的功能是对这些数据进行并串转换。它每收满6个数据(一个包),就对这6个数据进行处理,将这6个数据按照一定的顺序串行输出,输出的时钟频率是80MHz-serial input parallel output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:804754
    • 提供者:buffontus
  1. ser_par

    0下载:
  2. 24bitAD数据采样进行串并转换,并行输出。另包括24位DA并串转换,串行输出。-24bitAD data sampling and converted to strings, parallel output. Other notable features include 24-bit DA and string conversion, serial output.
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:2491
    • 提供者:caofangfang
  1. p_s

    0下载:
  2. 用VHDL语言编写的实现8位数据的并串转换,可下载在FPGA中-VHDL language with the realization of an 8-bit data, and the string conversion, can be downloaded in the FPGA in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:4956
    • 提供者:cloudy
  1. s_p

    0下载:
  2. 用Verilog HDL语言进行并串转换,并通过Quartus Ⅱ 功能仿真验证-With the Verilog HDL language and string conversion functions through simulation Quartus Ⅱ
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:231095
    • 提供者:jabeile
  1. SERDES

    2下载:
  2. 基于Verilog的串并转换器的设计与实现,采用两种不同的方案来实现串并和并串转换的功能,并用ISE软件仿真以及chipscope的调试-Verilog-based serial and parallel converter design and implementation of two different programs to achieve the string and and and string conversion functions, and use the ISE softwa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-28
    • 文件大小:785408
    • 提供者:陈凯
  1. piso8

    0下载:
  2. 并/串转换的VHDL源代码,其中包括完整的QUARTUS2工程,还有正确的仿真波形。串行,并行数据 -Serial/parallel conversion ,VHDL source code, including complete QUARTUS2 project, and the correct simulation waveform file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:223220
    • 提供者:simulin_2008
  1. sequence_FPGA

    0下载:
  2. 这个是一个集m序列发生器、序列检测器、并串转换、串并转换等功能,已通过测试。-sequence
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:515829
    • 提供者:姜国千
  1. bingchuan

    0下载:
  2. 简单的vhdl的四位并串转换程序,可以实现数据的并串转换-Simple vhdl string of four and the conversion process, can convert the data and the string
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:3085
    • 提供者:alice
  1. p2s

    0下载:
  2. 实现并串转换,需要的可能下下来自己多研究研究,相信还是可以看懂的-parallel to serial
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1732
    • 提供者:surprise
  1. BtoC

    0下载:
  2. 文件中有两种方法实现并串转换模块代码的编写,可以在modelsim软件中正确仿真(There are two methods in the file to achieve the serial conversion module code writing, can be correctly simulated in Modelsim software)
  3. 所属分类:通讯编程

    • 发布日期:2017-12-30
    • 文件大小:1024
    • 提供者:臭猴子
« 12 3 4 5 6 7 8 9 10 ... 25 »
搜珍网 www.dssz.com